vhdl吧 关注:4,588贴子:17,612
  • 1回复贴,共1

用VHDL设计智能抢答器

只看楼主收藏回复

内容如图。
哪路大神会做?
我没学过VHDL


IP属地:海南来自Android客户端1楼2016-05-20 00:20回复
    大神
    这个程序的仿真程序怎么编?、
    !!!急急急!!!
    entity djs is
    port(
    clock,en,aclr:in std_logic;
    grpsl:in std_logic_vector(3 downto 0);
    q:buffer std_logic_vector(4 downto 0);
    bell:out std_logic
    );
    end djs;
    architecture behave_djs of djs is
    begin
    process(clock,aclr)
    begin
    if (aclr='1') then
    q<="01010";
    else
    if rising_edge(clock) then
    if en='1' then
    q<=q-1;
    if (q="00000" or grpsl="0000") then
    bell<='1';
    else
    bell<='0';
    end if;
    end if;
    end if;
    end if;
    end process;
    end behave_djs;


    IP属地:海南2楼2016-05-22 14:52
    回复